==> Building on X ==> Checking for remote environment... ==> Syncing package to remote host... sending incremental file list created directory packages/python-edalize ./ PKGBUILD 1,060 100% 0.00kB/s 0:00:00 1,060 100% 0.00kB/s 0:00:00 (xfr#1, to-chk=1/3) python-edalize-0.4.0-1.log 242 100% 236.33kB/s 0:00:00 242 100% 236.33kB/s 0:00:00 (xfr#2, to-chk=0/3) sent 993 bytes received 103 bytes 730.67 bytes/sec total size is 1,248 speedup is 1.14 ==> Running extra-riscv64-build -- -d /home/felix/packages/riscv64-pkg-cache:/var/cache/pacman/pkg -l felix8 on remote host... [?25l:: Synchronizing package databases... core downloading... extra downloading... community downloading... :: Starting full system upgrade... there is nothing to do [?25h==> Building in chroot for [extra] (riscv64)... ==> Synchronizing chroot copy [/var/lib/archbuild/extra-riscv64/root] -> [felix8]...done ==> Making package: python-edalize 0.4.0-1 (Sun Dec 4 11:07:26 2022) ==> Retrieving sources...  -> Downloading python-edalize-0.4.0.tar.gz... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 10310 0 10310 0 0 6528 0 --:--:-- 0:00:01 --:--:-- 6528 100 316k 0 316k 0 0 172k 0 --:--:-- 0:00:01 --:--:-- 1189k ==> Validating source files with sha512sums... python-edalize-0.4.0.tar.gz ... Passed ==> Making package: python-edalize 0.4.0-1 (Sun Dec 4 11:07:46 2022) ==> Checking runtime dependencies... ==> Installing missing dependencies... [?25lresolving dependencies... looking for conflicting packages... Package (4) New Version Net Change core/libnsl 2.0.0-2 0.06 MiB extra/python-markupsafe 2.1.1-1 0.06 MiB core/python 3.10.8-3 81.34 MiB community/python-jinja 1:3.1.2-2 1.28 MiB Total Installed Size: 82.74 MiB :: Proceed with installation? [Y/n] checking keyring... checking package integrity... loading package files... checking for file conflicts... :: Processing package changes... installing libnsl... installing python... Optional dependencies for python python-setuptools python-pip sqlite [installed] mpdecimal: for decimal xz: for lzma [installed] tk: for tkinter installing python-markupsafe... installing python-jinja... Optional dependencies for python-jinja python-babel: for i18n support [?25h==> Checking buildtime dependencies... ==> Installing missing dependencies... [?25lresolving dependencies... looking for conflicting packages... Package (32) New Version Net Change Download Size extra/blas 3.11.0-1 0.21 MiB extra/cblas 3.11.0-1 0.17 MiB community/cython 0.29.32-2 7.52 MiB extra/lapack 3.11.0-1 4.26 MiB extra/python-appdirs 1.4.4-7 0.07 MiB extra/python-attrs 22.1.0-1 0.43 MiB community/python-autocommand 2.2.2-1 0.07 MiB community/python-dateutil 2.8.2-4 0.82 MiB community/python-exceptiongroup 1.0.4-1 0.09 MiB community/python-inflect 6.0.2-1 0.28 MiB community/python-iniconfig 1.1.1-5 0.02 MiB community/python-jaraco.context 4.2.0-1 0.03 MiB community/python-jaraco.functools 3.5.2-1 0.05 MiB community/python-jaraco.text 3.11.0-1 0.07 MiB community/python-more-itertools 9.0.0-1 0.49 MiB extra/python-numpy 1.23.5-1 29.80 MiB extra/python-ordered-set 4.1.0-1 0.06 MiB extra/python-packaging 21.3-1 0.26 MiB community/python-pluggy 1.0.0-1 0.10 MiB community/python-pydantic 1.10.2-1 5.79 MiB extra/python-pyparsing 3.0.9-1 0.96 MiB community/python-pytz 2022.6-1 0.14 MiB extra/python-setuptools 1:65.6.3-1 3.31 MiB extra/python-six 1.16.0-6 0.09 MiB extra/python-tomli 2.0.1-1 0.08 MiB extra/python-trove-classifiers 2022.12.1-1 0.11 MiB community/python-typing_extensions 4.4.0-1 0.22 MiB extra/python-validate-pyproject 0.10.1-1 0.41 MiB community/iverilog 11.0-2 5.40 MiB 1.92 MiB community/python-pandas 1.4.1-1 57.59 MiB 10.42 MiB community/python-pytest 7.2.0-4 2.79 MiB community/python-setuptools-scm 7.0.5-1 0.26 MiB Total Download Size: 12.34 MiB Total Installed Size: 121.93 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... python-pandas-1.4.1-1-riscv64 downloading... iverilog-11.0-2-riscv64 downloading... checking keyring... checking package integrity... loading package files... checking for file conflicts... :: Processing package changes... installing python-pyparsing... Optional dependencies for python-pyparsing python-railroad-diagrams: for generating Railroad Diagrams python-jinja: for generating Railroad Diagrams [installed] installing python-packaging... installing python-appdirs... installing python-more-itertools... installing python-jaraco.functools... installing python-jaraco.context... installing python-autocommand... installing cython... installing python-typing_extensions... installing python-pydantic... Optional dependencies for python-pydantic python-dotenv: for .env file support python-email-validator: for email validation installing python-inflect... installing python-jaraco.text... installing python-ordered-set... installing python-tomli... installing python-trove-classifiers... installing python-validate-pyproject... installing python-setuptools... installing python-setuptools-scm... installing python-attrs... installing python-exceptiongroup... installing python-iniconfig... installing python-pluggy... installing python-pytest... installing blas... installing cblas... installing lapack... installing python-numpy... Optional dependencies for python-numpy openblas: faster linear algebra installing python-six... installing python-dateutil... installing python-pytz... installing python-pandas... Optional dependencies for python-pandas python-pandas-datareader: pandas.io.data replacement (recommended) python-numexpr: needed for accelerating certain numerical operations (recommended) python-bottleneck: needed for accelerating certain types of nan evaluations (recommended) python-beautifulsoup4: needed for read_html function python-jinja: needed for conditional HTML formatting [installed] python-pyqt5: needed for read_clipboard function (only one needed) python-pytables: needed for HDF5-based storage python-sqlalchemy: needed for SQL database support python-scipy: needed for miscellaneous statistical functions python-xlsxwriter: alternative Excel XLSX output python-blosc: for msgpack compression using blosc python-html5lib: needed for read_hmlt function (and/or python-lxml) python-lxml: needed for read_html function (and/or python-html5lib) python-matplotlib: needed for plotting python-openpyxl: needed for Excel XLSX input/output python-psycopg2: needed for PostgreSQL engine for sqlalchemy python-pymysql: needed for MySQL engine for sqlalchemy python-qtpy: needed for read_clipboard function (only one needed) python-tabulate: needed for printing in Markdown-friendly format python-fsspec: needed for handling files aside from local and HTTP xclip: needed for read_clipboard function (only one needed) python-xlrd: needed for Excel XLS input python-xlwt: needed for Excel XLS output xsel: needed for read_clipboard function (only one needed) zlib: needed for compression for msgpack [installed] installing iverilog... [?25h==> Retrieving sources...  -> Found python-edalize-0.4.0.tar.gz ==> WARNING: Skipping all source file integrity checks. ==> Extracting sources...  -> Extracting python-edalize-0.4.0.tar.gz with bsdtar ==> Starting prepare()... ==> Starting build()... running build running build_py creating build creating build/lib creating build/lib/edalize copying edalize/gatemate.py -> build/lib/edalize copying edalize/ghdl.py -> build/lib/edalize copying edalize/nextpnr.py -> build/lib/edalize copying edalize/libero.py -> build/lib/edalize copying edalize/yosys.py -> build/lib/edalize copying edalize/spyglass.py -> build/lib/edalize copying edalize/ascentlint.py -> build/lib/edalize copying edalize/vunit.py -> build/lib/edalize copying edalize/isim.py -> build/lib/edalize copying edalize/vpr.py -> build/lib/edalize copying edalize/ise.py -> build/lib/edalize copying edalize/icarus.py -> build/lib/edalize copying edalize/veriblelint.py -> build/lib/edalize copying edalize/xcelium.py -> build/lib/edalize copying edalize/vivado_reporting.py -> build/lib/edalize copying edalize/oxide.py -> build/lib/edalize copying edalize/vunit_hooks.py -> build/lib/edalize copying edalize/veribleformat.py -> build/lib/edalize copying edalize/trellis.py -> build/lib/edalize copying edalize/ise_reporting.py -> build/lib/edalize copying edalize/modelsim.py -> build/lib/edalize copying edalize/openlane.py -> build/lib/edalize copying edalize/rivierapro.py -> build/lib/edalize copying edalize/xsim.py -> build/lib/edalize copying edalize/edatool.py -> build/lib/edalize copying edalize/morty.py -> build/lib/edalize copying edalize/verilator.py -> build/lib/edalize copying edalize/quartus.py -> build/lib/edalize copying edalize/radiant.py -> build/lib/edalize copying edalize/openfpga.py -> build/lib/edalize copying edalize/apicula.py -> build/lib/edalize copying edalize/reporting.py -> build/lib/edalize copying edalize/quartus_reporting.py -> build/lib/edalize copying edalize/symbiyosys.py -> build/lib/edalize copying edalize/__init__.py -> build/lib/edalize copying edalize/symbiflow.py -> build/lib/edalize copying edalize/mistral.py -> build/lib/edalize copying edalize/icestorm.py -> build/lib/edalize copying edalize/utils.py -> build/lib/edalize copying edalize/diamond.py -> build/lib/edalize copying edalize/vcs.py -> build/lib/edalize copying edalize/vivado.py -> build/lib/edalize creating build/lib/edalize/tools copying edalize/tools/icetime.py -> build/lib/edalize/tools copying edalize/tools/ghdl.py -> build/lib/edalize/tools copying edalize/tools/icepack.py -> build/lib/edalize/tools copying edalize/tools/nextpnr.py -> build/lib/edalize/tools copying edalize/tools/yosys.py -> build/lib/edalize/tools copying edalize/tools/vpr.py -> build/lib/edalize/tools copying edalize/tools/sv2v.py -> build/lib/edalize/tools copying edalize/tools/edatool.py -> build/lib/edalize/tools copying edalize/tools/verilator.py -> build/lib/edalize/tools copying edalize/tools/surelog.py -> build/lib/edalize/tools copying edalize/tools/__init__.py -> build/lib/edalize/tools copying edalize/tools/vivado.py -> build/lib/edalize/tools creating build/lib/edalize/flows copying edalize/flows/edaflow.py -> build/lib/edalize/flows copying edalize/flows/vpr.py -> build/lib/edalize/flows copying edalize/flows/sim.py -> build/lib/edalize/flows copying edalize/flows/__init__.py -> build/lib/edalize/flows copying edalize/flows/lint.py -> build/lib/edalize/flows copying edalize/flows/icestorm.py -> build/lib/edalize/flows copying edalize/flows/vivado.py -> build/lib/edalize/flows creating build/lib/edalize/templates creating build/lib/edalize/templates/yosys copying edalize/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/templates/yosys copying edalize/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/templates/yosys creating build/lib/edalize/templates/openfpga copying edalize/templates/openfpga/task_simulation.conf.j2 -> build/lib/edalize/templates/openfpga creating build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/Makefile.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-project.prj.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> build/lib/edalize/templates/spyglass creating build/lib/edalize/templates/vcs copying edalize/templates/vcs/Makefile.j2 -> build/lib/edalize/templates/vcs creating build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/templates/vivado creating build/lib/edalize/templates/vunit copying edalize/templates/vunit/run.py.j2 -> build/lib/edalize/templates/vunit creating build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-project.tcl.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-std-makefile.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-pro-makefile.j2 -> build/lib/edalize/templates/quartus creating build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/Makefile.j2 -> build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> build/lib/edalize/templates/ascentlint creating build/lib/edalize/templates/libero copying edalize/templates/libero/libero-project.tcl.j2 -> build/lib/edalize/templates/libero copying edalize/templates/libero/libero-run.tcl.j2 -> build/lib/edalize/templates/libero copying edalize/templates/libero/libero-syn-user.tcl.j2 -> build/lib/edalize/templates/libero creating build/lib/edalize/templates/ghdl copying edalize/templates/ghdl/Makefile.j2 -> build/lib/edalize/templates/ghdl creating build/lib/edalize/templates/openlane copying edalize/templates/openlane/openlane-makefile.j2 -> build/lib/edalize/templates/openlane copying edalize/templates/openlane/openlane-script-tcl.j2 -> build/lib/edalize/templates/openlane creating build/lib/edalize/tools/templates creating build/lib/edalize/tools/templates/yosys copying edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/tools/templates/yosys copying edalize/tools/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/tools/templates/yosys creating build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/tools/templates/vivado running build_scripts creating build/scripts-3.10 copying and adjusting scripts/el_docker -> build/scripts-3.10 changing mode of build/scripts-3.10/el_docker from 644 to 755 ==> Starting check()... ============================= test session starts ============================== platform linux -- Python 3.10.8, pytest-7.2.0, pluggy-1.0.0 rootdir: /build/python-edalize/src/edalize-0.4.0 collected 109 items tests/test_apicula.py .... [ 3%] tests/test_ascentlint.py . [ 4%] tests/test_diamond.py .. [ 6%] tests/test_edam.py ....... [ 12%] tests/test_gatemate.py ...... [ 18%] tests/test_ghdl.py .... [ 22%] tests/test_icarus.py F. [ 23%] tests/test_icestorm.py ...... [ 29%] tests/test_ise.py .. [ 31%] tests/test_isim.py . [ 32%] tests/test_libero.py .. [ 33%] tests/test_mistral.py .... [ 37%] tests/test_modelsim.py . [ 38%] tests/test_morty.py . [ 39%] tests/test_openfpga.py . [ 40%] tests/test_openlane.py . [ 41%] tests/test_oxide.py .... [ 44%] tests/test_quartus.py . [ 45%] tests/test_radiant.py .. [ 47%] tests/test_reporting.py ............................... [ 76%] tests/test_rivierapro.py . [ 77%] tests/test_symbiflow.py ... [ 79%] tests/test_symbiyosys.py . [ 80%] tests/test_trellis.py ... [ 83%] tests/test_vcs.py ... [ 86%] tests/test_veribleformat.py . [ 87%] tests/test_veriblelint.py . [ 88%] tests/test_verilator.py ... [ 90%] tests/test_vivado.py .... [ 94%] tests/test_vpr.py . [ 95%] tests/test_vunit.py .. [ 97%] tests/test_xcelium.py . [ 98%] tests/test_xsim.py .. [100%] =================================== FAILURES =================================== _________________________________ test_icarus __________________________________ make_edalize_test = ._fun at 0x4006fb5b40> def test_icarus(make_edalize_test): name = "test_icarus_0" tool_options = { "iverilog_options": ["some", "iverilog_options"], "timescale": "1ns/1ns", } tf = make_edalize_test( "icarus", test_name=name, tool_options=tool_options, use_vpi=True ) tf.backend.configure() tf.compare_files(["Makefile", name + ".scr", "timescale.v"]) tf.backend.build() > tf.compare_files(["iverilog.cmd", "iverilog-vpi.cmd"]) tests/test_icarus.py:19: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ tests/edalize_common.py:90: in compare_files return compare_files(ref_dir, self.work_root, files) _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ ref_dir = '/build/python-edalize/src/edalize-0.4.0/tests/test_icarus' work_root = '/tmp/pytest-of-builduser/pytest-0/test_icarus0/0' files = ['iverilog.cmd', 'iverilog-vpi.cmd'] def compare_files(ref_dir, work_root, files): """Check that all *files* in *work_root* match those in *ref_dir*. If the environment variable :envvar:`GOLDEN_RUN` is set, the *files* in *work_root* are copied to *ref_dir* to become the new reference. """ for f in files: reference_file = os.path.join(ref_dir, f) generated_file = os.path.join(work_root, f) assert os.path.exists(generated_file) if "GOLDEN_RUN" in os.environ: shutil.copy(generated_file, reference_file) with open(reference_file) as fref, open(generated_file) as fgen: > assert fref.read() == fgen.read(), f E AssertionError: iverilog-vpi.cmd E assert '--name=vpi1 ...rc/vpi_2/f4\n' == '--name=vpi2 ...rc/vpi_1/f3\n' E + --name=vpi1 -lsome_lib -Isrc/vpi_1/ src/vpi_1/f1 src/vpi_1/f3 E --name=vpi2 src/vpi_2/f4 E - --name=vpi1 -lsome_lib -Isrc/vpi_1/ src/vpi_1/f1 src/vpi_1/f3 tests/edalize_common.py:139: AssertionError ----------------------------- Captured stdout call ----------------------------- iverilog-vpi --name=vpi1 -lsome_lib -Isrc/vpi_1/ src/vpi_1/f1 src/vpi_1/f3 iverilog-vpi --name=vpi2 src/vpi_2/f4 iverilog -stop_module -c test_icarus_0.scr -o test_icarus_0 some iverilog_options ------------------------------ Captured log call ------------------------------- WARNING edalize.icarus:icarus.py:97 qip_file.qip has unknown file type 'QIP' WARNING edalize.icarus:icarus.py:97 qsys_file has unknown file type 'QSYS' WARNING edalize.icarus:icarus.py:97 sdc_file has unknown file type 'SDC' WARNING edalize.icarus:icarus.py:97 bmm_file has unknown file type 'BMM' WARNING edalize.icarus:icarus.py:97 pcf_file.pcf has unknown file type 'PCF' WARNING edalize.icarus:icarus.py:97 ucf_file.ucf has unknown file type 'UCF' WARNING edalize.icarus:icarus.py:97 tcl_file.tcl has unknown file type 'tclSource' WARNING edalize.icarus:icarus.py:97 waiver_file.waiver has unknown file type 'waiver' WARNING edalize.icarus:icarus.py:97 vhdl_file.vhd has unknown file type 'vhdlSource' WARNING edalize.icarus:icarus.py:97 vhdl_lfile has unknown file type 'vhdlSource' WARNING edalize.icarus:icarus.py:97 vhdl2008_file has unknown file type 'vhdlSource-2008' WARNING edalize.icarus:icarus.py:97 xci_file.xci has unknown file type 'xci' WARNING edalize.icarus:icarus.py:97 xdc_file.xdc has unknown file type 'xdc' WARNING edalize.icarus:icarus.py:97 bootrom.mem has unknown file type 'mem' WARNING edalize.icarus:icarus.py:97 c_file.c has unknown file type 'cSource' WARNING edalize.icarus:icarus.py:97 cpp_file.cpp has unknown file type 'cppSource' WARNING edalize.icarus:icarus.py:97 config.vbl has unknown file type 'veribleLintRules' WARNING edalize.icarus:icarus.py:97 verible_waiver.vbw has unknown file type 'veribleLintWaiver' WARNING edalize.icarus:icarus.py:97 verible_waiver2.vbw has unknown file type 'veribleLintWaiver' WARNING edalize.icarus:icarus.py:97 config.sby.j2 has unknown file type 'sbyConfigTemplate' WARNING edalize.icarus:icarus.py:97 pdc_constraint_file.pdc has unknown file type 'PDC' WARNING edalize.icarus:icarus.py:97 qsf_constraint_file.qsf has unknown file type 'QSF' WARNING edalize.icarus:icarus.py:97 pdc_floorplan_constraint_file.pdc has unknown file type 'FPPDC' WARNING edalize.icarus:icarus.py:97 lpf_file.lpf has unknown file type 'LPF' =========================== short test summary info ============================ FAILED tests/test_icarus.py::test_icarus - AssertionError: iverilog-vpi.cmd ================== 1 failed, 108 passed in 206.26s (0:03:26) =================== ==> ERROR: A failure occurred in check().  Aborting... ==> ERROR: Build failed, check /var/lib/archbuild/extra-riscv64/felix8/build receiving incremental file list python-edalize-0.4.0-1-riscv64-build.log python-edalize-0.4.0-1-riscv64-check.log python-edalize-0.4.0-1-riscv64-prepare.log sent 81 bytes received 2,933 bytes 6,028.00 bytes/sec total size is 14,830 speedup is 4.92