cd tests/simple && bash run-test.sh "" make[1]: Entering directory '/build/yosys/src/yosys-yosys-0.12/tests/simple' + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c Test: matching_end_labels -> ok Test: local_loop_var -> ok Test: unnamed_block_decl -> ok Test: case_expr_const -> ok Test: case_expr_non_const -> ok Test: memwr_port_connection -> ok Test: always01 -> ok Test: always02 -> ok Test: arrays01 -> ok Test: attrib01_module -> ok Test: attrib08_mod_inst -> ok Test: attrib02_port_decl -> ok Test: arrays02 -> ok Test: aes_kexp128 -> ok Test: carryadd -> ok Test: attrib09_case -> ok Test: attrib04_net_var -> ok Test: arraycells -> ok Test: attrib06_operator_suffix -> ok Test: attrib03_parameter -> ok Test: always03 -> ok Test: defvalue -> ok Test: const_branch_finish -> ok Test: constmuldivmod -> ERROR! Note: Make sure that 'iverilog' is an up-to-date git checkout of Icarus Verilog. make[1]: *** [../tools/autotest.mk:10: constmuldivmod.v] Error 1 make[1]: *** Waiting for unfinished jobs.... Test: macro_arg_spaces -> ok Test: constpower -> ok Test: const_fold_func -> ok Test: genblk_dive -> ok Test: genblk_order -> ok Test: genblk_port_shadow -> ok Test: genblk_collide -> ok Test: forgen01 -> ok Test: func_recurse -> ok Test: forgen02 -> ok Test: fiedler-cooley -> ok Test: graphtest -> ok Test: func_width_scope -> ok Test: fsm -> ok Test: forloops -> ok Test: func_block -> ok Test: dff_init -> ok Test: const_func_shadow -> ok Test: dff_different_styles -> ok Test: asgn_binop -> ok Test: dynslice -> ok Test: generate -> ok Test: case_large -> ok make[1]: Leaving directory '/build/yosys/src/yosys-yosys-0.12/tests/simple' make: *** [Makefile:786: test] Error 2 ==> ERROR: A failure occurred in check().  Aborting...