==> Building on p ==> Checking for remote environment... ==> Syncing package to remote host... sending incremental file list created directory packages/yosys ./ PKGBUILD 1,405 100% 0.00kB/s 0:00:00 1,405 100% 0.00kB/s 0:00:00 (xfr#1, to-chk=1/3) yosys-0.12-3.log 279 100% 272.46kB/s 0:00:00 279 100% 272.46kB/s 0:00:00 (xfr#2, to-chk=0/3) sent 1,140 bytes received 94 bytes 822.67 bytes/sec total size is 1,593 speedup is 1.29 ==> Patching arch to riscv64... ==> Running extra-riscv64-build -- -d /home/felix/packages/riscv64-pkg-cache:/var/cache/pacman/pkg -l felix22 on remote host... [?25l:: Synchronizing package databases... core downloading... extra downloading... community downloading... :: Starting full system upgrade... there is nothing to do [?25h==> Building in chroot for [extra] (riscv64)... ==> Synchronizing chroot copy [/var/lib/archbuild/extra-riscv64/root] -> [felix22]...done ==> Making package: yosys 0.12-3 (Mon Mar 7 23:34:30 2022) ==> Retrieving sources...  -> Downloading yosys-0.12.tar.gz... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 124 100 124 0 0 637 0 --:--:-- --:--:-- --:--:-- 639 100 135 0 135 0 0 370 0 --:--:-- --:--:-- --:--:-- 370 100 401k 0 401k 0 0 558k 0 --:--:-- --:--:-- --:--:-- 558k 100 2012k 0 2012k 0 0 2119k 0 --:--:-- --:--:-- --:--:-- 6975k ==> Validating source files with sha512sums... yosys-0.12.tar.gz ... Passed ==> Making package: yosys 0.12-3 (Mon 07 Mar 2022 11:34:39 PM CET) ==> Checking runtime dependencies... ==> Installing missing dependencies... [?25lresolving dependencies... looking for conflicting packages... Package (6) New Version Net Change Download Size core/libnsl 2.0.0-2 0.06 MiB community/abc 0.0.0.20200911-1 13.00 MiB 5.72 MiB extra/boost-libs 1.78.0-1 8.05 MiB extra/protobuf 3.19.4-1 7.73 MiB core/python 3.10.1-2 79.11 MiB extra/tcl 8.6.12-3 6.30 MiB Total Download Size: 5.72 MiB Total Installed Size: 114.24 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... abc-0.0.0.20200911-1-riscv64 downloading... checking keyring... checking package integrity... loading package files... checking for file conflicts... :: Processing package changes... installing abc... installing boost-libs... Optional dependencies for boost-libs openmpi: for mpi support installing tcl... installing libnsl... installing python... Optional dependencies for python python-setuptools python-pip sqlite [installed] mpdecimal: for decimal xz: for lzma [installed] tk: for tkinter installing protobuf... [?25h==> Checking buildtime dependencies... ==> Installing missing dependencies... [?25lresolving dependencies... looking for conflicting packages... Package (2) New Version Net Change Download Size extra/boost 1.78.0-1 199.95 MiB community/iverilog 11.0-2 5.40 MiB 1.92 MiB Total Download Size: 1.92 MiB Total Installed Size: 205.35 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... iverilog-11.0-2-riscv64 downloading... checking keyring... checking package integrity... loading package files... checking for file conflicts... :: Processing package changes... installing boost... Optional dependencies for boost python: for python bindings [installed] installing iverilog... [?25h==> Retrieving sources...  -> Found yosys-0.12.tar.gz ==> WARNING: Skipping all source file integrity checks. ==> Extracting sources...  -> Extracting yosys-0.12.tar.gz with bsdtar ==> Starting build()... [ 0%] Building kernel/version_UNKNOWN.cc [ 0%] Building kernel/celltypes.pyh [ 0%] Building kernel/consteval.pyh [ 0%] Building kernel/log.pyh [ 0%] Building kernel/register.pyh [ 0%] Building kernel/rtlil.pyh [ 0%] Building kernel/sigtools.pyh [ 0%] Building kernel/yosys.pyh [ 0%] Building kernel/cost.pyh [ 1%] Building kernel/driver.o [ 1%] Building techlibs/common/simlib_help.inc [ 1%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 2%] Building kernel/log.o [ 2%] Building kernel/calc.o [ 2%] Building kernel/yosys.o [ 3%] Building kernel/binding.o [ 3%] Building kernel/cellaigs.o [ 3%] Building kernel/celledges.o [ 4%] Building kernel/satgen.o [ 4%] Building kernel/qcsat.o [ 4%] Building kernel/mem.o [ 5%] Building kernel/ffmerge.o [ 5%] Building kernel/ff.o [ 5%] Building libs/bigint/BigIntegerAlgorithms.o [ 6%] Building libs/bigint/BigInteger.o [ 6%] Building libs/bigint/BigIntegerUtils.o [ 7%] Building libs/bigint/BigUnsigned.o [ 7%] Building libs/bigint/BigUnsignedInABase.o [ 7%] Building libs/sha1/sha1.o [ 8%] Building libs/json11/json11.o [ 8%] Building libs/subcircuit/subcircuit.o [ 8%] Building libs/ezsat/ezsat.o [ 9%] Building libs/ezsat/ezminisat.o [ 9%] Building libs/minisat/Options.o [ 9%] Building libs/minisat/SimpSolver.o [ 10%] Building libs/minisat/Solver.o [ 10%] Building libs/minisat/System.o In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]’ libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ [ 10%] Building frontends/aiger/aigerparse.o [ 11%] Building frontends/ast/ast.o In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]’ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]’ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]’ libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ [ 11%] Building frontends/ast/simplify.o [ 11%] Building frontends/ast/genrtlil.o [ 12%] Building frontends/ast/dpicall.o [ 12%] Building frontends/ast/ast_binding.o [ 12%] Building frontends/blif/blifparse.o [ 13%] Building frontends/json/jsonparse.o [ 13%] Building frontends/liberty/liberty.o [ 14%] Building frontends/rpc/rpc_frontend.o [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o [ 15%] Building frontends/verific/verific.o [ 15%] Building frontends/verilog/verilog_parser.tab.cc [ 16%] Building frontends/verilog/preproc.o [ 16%] Building frontends/verilog/verilog_frontend.o [ 17%] Building frontends/verilog/const2ast.o [ 17%] Building passes/cmds/exec.o [ 17%] Building passes/cmds/add.o [ 18%] Building passes/cmds/delete.o [ 18%] Building passes/cmds/design.o [ 18%] Building passes/cmds/select.o [ 19%] Building passes/cmds/show.o [ 19%] Building passes/cmds/rename.o [ 19%] Building passes/cmds/autoname.o [ 20%] Building passes/cmds/connect.o [ 20%] Building passes/cmds/scatter.o [ 21%] Building passes/cmds/setundef.o [ 21%] Building passes/cmds/splitnets.o [ 21%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/setattr.o [ 22%] Building passes/cmds/copy.o [ 22%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o [ 23%] Building passes/cmds/torder.o [ 23%] Building passes/cmds/logcmd.o [ 24%] Building passes/cmds/tee.o [ 24%] Building passes/cmds/write_file.o [ 24%] Building passes/cmds/connwrappers.o [ 25%] Building passes/cmds/cover.o [ 25%] Building passes/cmds/trace.o [ 25%] Building passes/cmds/plugin.o [ 26%] Building passes/cmds/check.o [ 26%] Building passes/cmds/qwp.o [ 26%] Building passes/cmds/edgetypes.o [ 27%] Building passes/cmds/portlist.o [ 27%] Building passes/cmds/chformal.o [ 28%] Building passes/cmds/chtype.o [ 28%] Building passes/cmds/blackbox.o [ 28%] Building passes/cmds/ltp.o [ 29%] Building passes/cmds/bugpoint.o [ 29%] Building passes/cmds/scratchpad.o [ 29%] Building passes/cmds/logger.o [ 30%] Building passes/cmds/printattrs.o [ 30%] Building passes/cmds/sta.o [ 30%] Building passes/equiv/equiv_make.o [ 31%] Building passes/equiv/equiv_miter.o [ 31%] Building passes/equiv/equiv_simple.o [ 31%] Building passes/equiv/equiv_status.o [ 32%] Building passes/equiv/equiv_add.o [ 32%] Building passes/equiv/equiv_remove.o [ 32%] Building passes/equiv/equiv_induct.o [ 33%] Building passes/equiv/equiv_struct.o [ 33%] Building passes/equiv/equiv_purge.o [ 33%] Building passes/equiv/equiv_mark.o [ 34%] Building passes/equiv/equiv_opt.o [ 34%] Building passes/fsm/fsm.o [ 35%] Building passes/fsm/fsm_detect.o [ 35%] Building passes/fsm/fsm_extract.o [ 35%] Building passes/fsm/fsm_opt.o [ 36%] Building passes/fsm/fsm_expand.o [ 36%] Building passes/fsm/fsm_recode.o [ 36%] Building passes/fsm/fsm_info.o [ 37%] Building passes/fsm/fsm_export.o [ 37%] Building passes/fsm/fsm_map.o [ 37%] Building passes/hierarchy/hierarchy.o [ 38%] Building passes/hierarchy/uniquify.o [ 38%] Building passes/hierarchy/submod.o [ 38%] Building passes/memory/memory.o [ 39%] Building passes/memory/memory_dff.o [ 39%] Building passes/memory/memory_share.o [ 39%] Building passes/memory/memory_collect.o [ 40%] Building passes/memory/memory_unpack.o [ 40%] Building passes/memory/memory_bram.o [ 40%] Building passes/memory/memory_map.o [ 41%] Building passes/memory/memory_memx.o [ 41%] Building passes/memory/memory_nordff.o [ 42%] Building passes/memory/memory_narrow.o [ 42%] Building passes/opt/opt.o [ 42%] Building passes/opt/opt_merge.o [ 43%] Building passes/opt/opt_mem.o [ 43%] Building passes/opt/opt_mem_feedback.o [ 43%] Building passes/opt/opt_mem_priority.o [ 44%] Building passes/opt/opt_mem_widen.o [ 44%] Building passes/opt/opt_muxtree.o [ 44%] Building passes/opt/opt_reduce.o [ 45%] Building passes/opt/opt_dff.o [ 45%] Building passes/opt/opt_share.o [ 45%] Building passes/opt/opt_clean.o [ 46%] Building passes/opt/opt_expr.o [ 46%] Building passes/opt/share.o [ 46%] Building passes/opt/wreduce.o [ 47%] Building passes/opt/opt_demorgan.o [ 47%] Building passes/opt/rmports.o [ 47%] Building passes/opt/opt_lut.o [ 48%] Building passes/opt/opt_lut_ins.o passes/opt/opt_dff.cc: In member function ‘bool {anonymous}::OptDffWorker::run()’: passes/opt/opt_dff.cc:560:52: warning: operation on ‘ff.Yosys::FfData::has_clk’ may be undefined [-Wsequence-point] 560 | ff.has_clk = ff.has_ce = ff.has_clk = false; | ~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 48%] Building passes/opt/pmux2shiftx.o [ 49%] Building passes/opt/muxpack.o [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building passes/pmgen/ice40_dsp_pm.h [ 49%] Building passes/pmgen/peepopt_pm.h [ 49%] Building passes/pmgen/xilinx_srl_pm.h [ 49%] Building passes/pmgen/ice40_dsp.o [ 49%] Building passes/pmgen/ice40_wrapcarry_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_pm.h [ 49%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 50%] Building passes/pmgen/peepopt.o [ 51%] Building passes/pmgen/xilinx_srl.o [ 51%] Building passes/proc/proc.o [ 51%] Building passes/proc/proc_prune.o [ 52%] Building passes/proc/proc_clean.o [ 52%] Building passes/proc/proc_rmdead.o [ 52%] Building passes/proc/proc_init.o [ 53%] Building passes/proc/proc_arst.o [ 53%] Building passes/proc/proc_mux.o [ 53%] Building passes/proc/proc_dlatch.o [ 54%] Building passes/proc/proc_dff.o [ 54%] Building passes/proc/proc_memwr.o [ 54%] Building passes/sat/sat.o [ 55%] Building passes/sat/freduce.o [ 55%] Building passes/sat/eval.o [ 56%] Building passes/sat/sim.o [ 56%] Building passes/sat/miter.o [ 56%] Building passes/sat/expose.o [ 57%] Building passes/sat/assertpmux.o [ 57%] Building passes/sat/clk2fflogic.o [ 57%] Building passes/sat/async2sync.o [ 58%] Building passes/sat/supercover.o [ 58%] Building passes/sat/fmcombine.o [ 58%] Building passes/sat/mutate.o [ 59%] Building passes/sat/cutpoint.o [ 59%] Building passes/sat/fminit.o [ 59%] Building passes/sat/qbfsat.o [ 60%] Building passes/techmap/flatten.o [ 60%] Building passes/techmap/techmap.o [ 60%] Building passes/techmap/simplemap.o [ 61%] Building passes/techmap/dfflibmap.o [ 61%] Building passes/techmap/maccmap.o [ 61%] Building passes/techmap/libparse.o [ 62%] Building passes/techmap/abc.o [ 62%] Building passes/techmap/abc9.o [ 63%] Building passes/techmap/abc9_exe.o [ 63%] Building passes/techmap/abc9_ops.o [ 63%] Building passes/techmap/iopadmap.o [ 64%] Building passes/techmap/clkbufmap.o [ 64%] Building passes/techmap/hilomap.o [ 64%] Building passes/techmap/extract.o [ 65%] Building passes/techmap/extract_fa.o [ 65%] Building passes/techmap/extract_counter.o [ 65%] Building passes/techmap/extract_reduce.o [ 66%] Building passes/techmap/alumacc.o [ 66%] Building passes/techmap/dffinit.o [ 66%] Building passes/techmap/pmuxtree.o [ 67%] Building passes/techmap/muxcover.o [ 67%] Building passes/techmap/aigmap.o [ 67%] Building passes/techmap/tribuf.o [ 68%] Building passes/techmap/lut2mux.o [ 68%] Building passes/techmap/nlutmap.o [ 68%] Building passes/techmap/shregmap.o [ 69%] Building passes/techmap/deminout.o [ 69%] Building passes/techmap/insbuf.o [ 70%] Building passes/techmap/attrmvcp.o [ 70%] Building passes/techmap/attrmap.o [ 70%] Building passes/techmap/zinit.o [ 71%] Building passes/techmap/dfflegalize.o [ 71%] Building passes/techmap/dffunmap.o [ 71%] Building passes/techmap/flowmap.o [ 72%] Building passes/techmap/extractinv.o [ 72%] Building passes/tests/test_autotb.o [ 72%] Building passes/tests/test_cell.o [ 73%] Building passes/tests/test_abcloop.o [ 73%] Building backends/aiger/aiger.o [ 73%] Building backends/aiger/xaiger.o [ 74%] Building backends/blif/blif.o [ 74%] Building backends/btor/btor.o [ 74%] Building backends/cxxrtl/cxxrtl_backend.o [ 75%] Building backends/edif/edif.o [ 75%] Building backends/firrtl/firrtl.o [ 75%] Building backends/intersynth/intersynth.o [ 76%] Building backends/json/json.o [ 77%] Building backends/rtlil/rtlil_backend.o [ 77%] Building backends/simplec/simplec.o [ 78%] Building backends/smt2/smt2.o [ 78%] Building backends/smv/smv.o [ 78%] Building backends/spice/spice.o [ 79%] Building backends/table/table.o [ 79%] Building backends/verilog/verilog_backend.o [ 79%] Building techlibs/achronix/synth_achronix.o [ 80%] Building techlibs/anlogic/synth_anlogic.o [ 80%] Building techlibs/anlogic/anlogic_eqn.o [ 80%] Building techlibs/anlogic/anlogic_fixcarry.o [ 81%] Building techlibs/common/synth.o [ 81%] Building techlibs/common/prep.o [ 81%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 82%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 82%] Building techlibs/coolrunner2/coolrunner2_fixup.o [ 82%] Building techlibs/easic/synth_easic.o [ 83%] Building techlibs/ecp5/synth_ecp5.o [ 83%] Building techlibs/ecp5/ecp5_gsr.o [ 84%] Building techlibs/efinix/synth_efinix.o [ 84%] Building techlibs/efinix/efinix_fixcarry.o [ 84%] Building techlibs/gatemate/synth_gatemate.o [ 85%] Building techlibs/gowin/synth_gowin.o [ 85%] Building techlibs/greenpak4/synth_greenpak4.o [ 85%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 86%] Building techlibs/ice40/synth_ice40.o [ 86%] Building techlibs/ice40/ice40_braminit.o [ 86%] Building techlibs/ice40/ice40_opt.o [ 87%] Building techlibs/intel_alm/synth_intel_alm.o [ 87%] Building techlibs/intel/synth_intel.o [ 87%] Building techlibs/machxo2/synth_machxo2.o [ 88%] Building techlibs/nexus/synth_nexus.o [ 88%] Building techlibs/quicklogic/synth_quicklogic.o [ 88%] Building techlibs/sf2/synth_sf2.o [ 89%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/lutram_init_16x4.vh [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building techlibs/ecp5/brams_init.mk [ 99%] Building techlibs/ecp5/brams_connect.mk [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/gowin/brams_init3.vh [ 99%] Building techlibs/gowin/brams_init.mk [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building techlibs/ice40/brams_init.mk [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/machxo2/cells_map.v [ 99%] Building share/machxo2/cells_sim.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_init.vh [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_init.vh [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/pp3_ffs_map.v [ 99%] Building share/quicklogic/pp3_lut_map.v [ 99%] Building share/quicklogic/pp3_latches_map.v [ 99%] Building share/quicklogic/pp3_cells_map.v [ 99%] Building share/quicklogic/cells_sim.v [ 99%] Building share/quicklogic/lut_sim.v [ 99%] Building share/quicklogic/pp3_cells_sim.v [ 99%] Building share/quicklogic/abc9_model.v [ 99%] Building share/quicklogic/abc9_map.v [ 99%] Building share/quicklogic/abc9_unmap.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/xc2v_brams.txt [ 99%] Building share/xilinx/xc2v_brams_map.v [ 99%] Building share/xilinx/xc3sa_brams.txt [ 99%] Building share/xilinx/xc3sda_brams.txt [ 99%] Building share/xilinx/xc6s_brams.txt [ 99%] Building share/xilinx/xc6s_brams_map.v [ 99%] Building share/xilinx/xc7_xcu_brams.txt [ 99%] Building share/xilinx/xc7_brams_map.v [ 99%] Building share/xilinx/xcu_brams_map.v [ 99%] Building share/xilinx/xcup_urams.txt [ 99%] Building share/xilinx/xcup_urams_map.v [ 99%] Building share/xilinx/lut4_lutrams.txt [ 99%] Building share/xilinx/lut6_lutrams.txt [ 99%] Building share/xilinx/lutrams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building techlibs/xilinx/brams_init.mk [ 99%] Building kernel/version_UNKNOWN.o [ 99%] Building kernel/python_wrappers.cc [ 99%] Building kernel/register.o [ 99%] Building frontends/rtlil/rtlil_parser.tab.o [ 99%] Building frontends/rtlil/rtlil_lexer.o [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.cc [ 99%] Building passes/pmgen/test_pmgen.o [ 99%] Building passes/pmgen/ice40_wrapcarry.o [ 99%] Building passes/pmgen/xilinx_dsp.o [ 99%] Building backends/protobuf/protobuf.o [ 99%] Building backends/protobuf/yosys.pb.o [ 99%] Building yosys-filterlib [ 99%] Building share/ecp5/bram_init_1_2_4.vh [ 99%] Building share/ecp5/bram_init_9_18_36.vh [ 99%] Building share/ecp5/bram_conn_1.vh [ 99%] Building share/ecp5/bram_conn_2.vh [ 99%] Building share/ecp5/bram_conn_4.vh [ 99%] Building share/ecp5/bram_conn_9.vh [ 99%] Building share/ecp5/bram_conn_18.vh [ 99%] Building share/ecp5/bram_conn_36.vh [ 99%] Building share/gowin/bram_init_16.vh [ 99%] Building share/ice40/brams_init1.vh [ 99%] Building share/ice40/brams_init2.vh [ 99%] Building share/ice40/brams_init3.vh [ 99%] Building share/xilinx/brams_init_36.vh [ 99%] Building share/xilinx/brams_init_32.vh [ 99%] Building share/xilinx/brams_init_18.vh [ 99%] Building share/xilinx/brams_init_16.vh [ 99%] Building share/xilinx/brams_init_9.vh [ 99%] Building share/xilinx/brams_init_8.vh [ 99%] Building frontends/verilog/verilog_lexer.o [ 99%] Building kernel/python_wrappers.o [100%] Building yosys [100%] Building libyosys.so frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type ‘union yyalloc’ violates the C++ One Definition Rule [-Wodr] 492 | union yyalloc | ^ frontends/verilog/verilog_parser.tab.cc:1112:7: note: a different type is defined in another translation unit 1112 | union yyalloc | ^ frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field ‘yyss_alloc’ 494 | yy_state_t yyss_alloc; | ^ frontends/verilog/verilog_parser.tab.cc:1114:14: note: a field of same name but different type is defined in another translation unit 1114 | yy_state_t yyss_alloc; | ^ frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type ‘union yyalloc’ violates the C++ One Definition Rule [-Wodr] 492 | union yyalloc | ^ frontends/verilog/verilog_parser.tab.cc:1112:7: note: a different type is defined in another translation unit 1112 | union yyalloc | ^ frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field ‘yyss_alloc’ 494 | yy_state_t yyss_alloc; | ^ frontends/verilog/verilog_parser.tab.cc:1114:14: note: a field of same name but different type is defined in another translation unit 1114 | yy_state_t yyss_alloc; | ^ frontends/rtlil/rtlil_parser.tab.cc:126: warning: type ‘yysymbol_kind_t’ violates the C++ One Definition Rule [-Wodr] 126 | enum yysymbol_kind_t | frontends/verilog/verilog_parser.tab.cc:384: note: an enum with different value name is defined in another translation unit 384 | enum yysymbol_kind_t | frontends/rtlil/rtlil_parser.tab.cc:132: note: name ‘YYSYMBOL_TOK_ID’ differs from name ‘YYSYMBOL_TOK_STRING’ defined in another translation unit 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ | frontends/verilog/verilog_parser.tab.cc:390: note: mismatching definition 390 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ | frontends/rtlil/rtlil_parser.tab.cc:126: warning: type ‘yysymbol_kind_t’ violates the C++ One Definition Rule [-Wodr] 126 | enum yysymbol_kind_t | frontends/verilog/verilog_parser.tab.cc:384: note: an enum with different value name is defined in another translation unit 384 | enum yysymbol_kind_t | frontends/rtlil/rtlil_parser.tab.cc:132: note: name ‘YYSYMBOL_TOK_ID’ differs from name ‘YYSYMBOL_TOK_STRING’ defined in another translation unit 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ | frontends/verilog/verilog_parser.tab.cc:390: note: mismatching definition 390 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ | kernel/python_wrappers.cc: In function ‘init_module_libyosys’: kernel/python_wrappers.cc:13299:9: note: variable tracking size limit exceeded with ‘-fvar-tracking-assignments’, retrying without 13299 | BOOST_PYTHON_MODULE(libyosys) | ^ kernel/python_wrappers.cc: In function ‘init_module_libyosys’: kernel/python_wrappers.cc:13299:9: note: variable tracking size limit exceeded with ‘-fvar-tracking-assignments’, retrying without 13299 | BOOST_PYTHON_MODULE(libyosys) | ^ Build successful. ==> Starting check()... cd tests/simple && bash run-test.sh "" make[1]: Entering directory '/build/yosys/src/yosys-yosys-0.12/tests/simple' + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c + gcc -Wall -o /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata /build/yosys/src/yosys-yosys-0.12/tests/tools/cmp_tbdata.c Test: matching_end_labels -> ok Test: unnamed_block_decl -> ok Test: local_loop_var -> ok Test: memwr_port_connection -> ok Test: always01 -> ok Test: always02 -> ok Test: arrays02 -> ok Test: arrays01 -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: always03 -> ok Test: arraycells -> ok Test: aes_kexp128 -> ok Test: defvalue -> ok Test: macro_arg_spaces -> ok Test: case_expr_const -> ok Test: const_branch_finish -> ok Test: case_expr_non_const -> ok Test: attrib04_net_var -> ok Test: attrib08_mod_inst -> ok Test: constmuldivmod -> ERROR! Note: Make sure that 'iverilog' is an up-to-date git checkout of Icarus Verilog. make[1]: *** [../tools/autotest.mk:10: constmuldivmod.v] Error 1 make[1]: *** Waiting for unfinished jobs.... Test: attrib06_operator_suffix -> ok Test: carryadd -> ok Test: attrib09_case -> ok Test: attrib03_parameter -> ok Test: constpower -> ok Test: const_fold_func -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: fiedler-cooley -> ok Test: const_func_shadow -> ok Test: dff_init -> ok Test: dff_different_styles -> ok Test: asgn_binop -> ok Test: dynslice -> ok Test: case_large -> ok make[1]: Leaving directory '/build/yosys/src/yosys-yosys-0.12/tests/simple' make: *** [Makefile:786: test] Error 2 ==> ERROR: A failure occurred in check().  Aborting... ==> ERROR: Build failed, check /var/lib/archbuild/extra-riscv64/felix22/build receiving incremental file list yosys-0.12-3-riscv64-build.log yosys-0.12-3-riscv64-check.log sent 62 bytes received 5,580 bytes 3,761.33 bytes/sec total size is 32,605 speedup is 5.78