==> Building on scovillain ==> Checking for remote environment... ==> Syncing package to remote host... sending incremental file list created directory packages/python-edalize ./ .SRCINFO 635 100% 0.00kB/s 0:00:00 635 100% 0.00kB/s 0:00:00 (xfr#1, to-chk=2/4) PKGBUILD 1,079 100% 1.03MB/s 0:00:00 1,079 100% 1.03MB/s 0:00:00 (xfr#2, to-chk=1/4) python-edalize-0.5.4-2.log 482 100% 470.70kB/s 0:00:00 482 100% 470.70kB/s 0:00:00 (xfr#3, to-chk=0/4) sent 1,495 bytes received 122 bytes 3,234.00 bytes/sec total size is 1,881 speedup is 1.16 ==> Running extra-riscv64-build -- -d /home/felix/packages/riscv64-pkg-cache:/var/cache/pacman/pkg -l root29 on remote host... ==> Locking clean chroot...done [?25l:: Synchronizing package databases... core downloading... extra downloading... :: Starting full system upgrade... there is nothing to do [?25h==> Building in chroot for [extra] (riscv64)... ==> Synchronizing chroot copy [/var/lib/archbuild/extra-riscv64/root] -> [root29]...done ==> Making package: python-edalize 0.5.4-2 (Fri Jun 14 21:18:29 2024) ==> Retrieving sources...  -> Downloading python-edalize-0.5.4.tar.gz... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 8916 0 8916 0 0 6626 0 --:--:-- 0:00:01 --:--:-- 6626 100 353k 0 353k 0 0 197k 0 --:--:-- 0:00:01 --:--:-- 773k ==> Validating source files with sha512sums... python-edalize-0.5.4.tar.gz ... Passed ==> Making package: python-edalize 0.5.4-2 (Fri Jun 14 21:19:20 2024) ==> Checking runtime dependencies... ==> Installing missing dependencies... [?25lresolving dependencies... looking for conflicting packages... Package (6) New Version Net Change core/expat 2.6.2-1 0.38 MiB extra/mpdecimal 4.0.0-2 0.29 MiB extra/python-markupsafe 2.1.5-2 0.07 MiB core/python 3.12.3-1 105.93 MiB extra/python-jinja 1:3.1.4-1 1.74 MiB extra/python-pyparsing 3.1.2-2 1.25 MiB Total Installed Size: 109.67 MiB :: Proceed with installation? [Y/n] checking keyring... checking package integrity... loading package files... checking for file conflicts... :: Processing package changes... installing expat... installing mpdecimal... installing python... Optional dependencies for python python-setuptools: for building Python packages using tooling that is usually bundled with Python python-pip: for installing Python packages using tooling that is usually bundled with Python python-pipx: for installing Python software not packaged on Arch Linux sqlite: for a default database integration [installed] xz: for lzma [installed] tk: for tkinter installing python-markupsafe... installing python-jinja... Optional dependencies for python-jinja python-babel: for i18n support installing python-pyparsing... Optional dependencies for python-pyparsing python-railroad-diagrams: for generating Railroad Diagrams python-jinja: for generating Railroad Diagrams [installed] [?25h==> Checking buildtime dependencies... ==> Installing missing dependencies... [?25lresolving dependencies... looking for conflicting packages... Package (29) New Version Net Change Download Size extra/blas 3.12.0-5 0.41 MiB extra/cblas 3.12.0-5 0.28 MiB extra/lapack 3.12.0-5 4.72 MiB extra/python-autocommand 2.2.2-6 0.08 MiB extra/python-dateutil 2.9.0-5 0.99 MiB extra/python-fastjsonschema 2.19.1-3 0.26 MiB extra/python-inflect 7.2.1-2 0.36 MiB extra/python-iniconfig 2.0.0-5 0.04 MiB extra/python-jaraco.context 4.3.0-4 0.03 MiB extra/python-jaraco.functools 4.0.1-1 0.07 MiB extra/python-jaraco.text 3.12.0-3 0.08 MiB extra/python-more-itertools 10.2.0-2 0.61 MiB extra/python-numpy 1.26.4-2 36.67 MiB extra/python-ordered-set 4.1.0-5 0.06 MiB extra/python-packaging 24.0-1 0.50 MiB extra/python-platformdirs 4.2.0-3 0.23 MiB extra/python-pluggy 1.5.0-1 0.20 MiB extra/python-pytz 2024.1-2 0.16 MiB extra/python-setuptools 1:69.0.3-6 4.39 MiB extra/python-six 1.16.0-9 0.11 MiB extra/python-tomli 2.0.1-4 0.10 MiB extra/python-trove-classifiers 2024.5.22-1 0.12 MiB extra/python-typeguard 4.2.1-2 0.40 MiB extra/python-typing_extensions 4.12.2-1 0.41 MiB extra/python-validate-pyproject 0.16-1 0.31 MiB extra/iverilog 12.0-2 6.03 MiB 2.11 MiB extra/python-pandas 1.5.3-4 81.10 MiB extra/python-pytest 1:8.2.2-1 3.91 MiB extra/python-setuptools-scm 8.1.0-1 0.36 MiB Total Download Size: 2.11 MiB Total Installed Size: 143.02 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... iverilog-12.0-2-riscv64 downloading... checking keyring... checking package integrity... loading package files... checking for file conflicts... :: Processing package changes... installing python-packaging... installing python-more-itertools... installing python-jaraco.functools... installing python-jaraco.context... installing python-autocommand... installing python-typing_extensions... installing python-typeguard... installing python-inflect... installing python-jaraco.text... installing python-ordered-set... installing python-platformdirs... installing python-tomli... installing python-fastjsonschema... installing python-trove-classifiers... installing python-validate-pyproject... installing python-setuptools... installing python-setuptools-scm... installing python-iniconfig... installing python-pluggy... installing python-pytest... installing blas... installing cblas... installing lapack... installing python-numpy... Optional dependencies for python-numpy blas-openblas: faster linear algebra installing python-six... installing python-dateutil... installing python-pytz... installing python-pandas... Optional dependencies for python-pandas python-pandas-datareader: pandas.io.data replacement (recommended) python-numexpr: accelerating certain numerical operations (recommended) python-bottleneck: accelerating certain types of nan evaluations (recommended) python-matplotlib: plotting python-jinja: conditional formatting with DataFrame.style [installed] python-tabulate: printing in Markdown-friendly format python-scipy: miscellaneous statistical functions python-numba: alternative execution engine python-xarray: pandas-like API for N-dimensional data python-xlrd: Excel XLS input python-xlwt: Excel XLS output python-openpyxl: Excel XLSX input/output python-xlsxwriter: alternative Excel XLSX output python-beautifulsoup4: read_html function (in any case) python-html5lib: read_html function (and/or python-lxml) python-lxml: read_xml, to_xml and read_html function (and/or python-html5lib) python-sqlalchemy: SQL database support python-psycopg2: PostgreSQL engine for sqlalchemy python-pymysql: MySQL engine for sqlalchemy python-pytables: HDF5-based reading / writing python-blosc: for msgpack compression using blosc zlib: compression for msgpack [installed] python-pyarrow: Parquet, ORC and feather reading/writing python-fsspec: handling files aside from local and HTTP python-pyqt5: read_clipboard function (only one needed) python-qtpy: read_clipboard function (only one needed) xclip: read_clipboard function (only one needed) xsel: read_clipboard function (only one needed) python-brotli: Brotli compression python-snappy: Snappy compression python-zstandard: Zstandard (zstd) compression installing iverilog... [?25h==> Retrieving sources...  -> Found python-edalize-0.5.4.tar.gz ==> WARNING: Skipping all source file integrity checks. ==> Extracting sources...  -> Extracting python-edalize-0.5.4.tar.gz with bsdtar ==> Starting prepare()... ==> Starting build()... /usr/lib/python3.12/site-packages/setuptools/__init__.py:80: _DeprecatedInstaller: setuptools.installer and fetch_build_eggs are deprecated. !! ******************************************************************************** Requirements should be satisfied by a PEP 517 installer. If you are using pip, you can try `pip install --use-pep517`. ******************************************************************************** !! dist.fetch_build_eggs(dist.setup_requires) WARNING: The wheel package is not available. WARNING setuptools_scm.pyproject_reading toml section missing 'pyproject.toml does not contain a tool.setuptools_scm section' Traceback (most recent call last): File "/usr/lib/python3.12/site-packages/setuptools_scm/_integration/pyproject_reading.py", line 36, in read_pyproject section = defn.get("tool", {})[tool_name] ~~~~~~~~~~~~~~~~~~~~^^^^^^^^^^^ KeyError: 'setuptools_scm' running build running build_py creating build creating build/lib creating build/lib/edalize copying edalize/xsim.py -> build/lib/edalize copying edalize/edatool.py -> build/lib/edalize copying edalize/design_compiler.py -> build/lib/edalize copying edalize/openfpga.py -> build/lib/edalize copying edalize/vunit_hooks.py -> build/lib/edalize copying edalize/vivado_reporting.py -> build/lib/edalize copying edalize/apicula.py -> build/lib/edalize copying edalize/reporting.py -> build/lib/edalize copying edalize/radiant.py -> build/lib/edalize copying edalize/spyglass.py -> build/lib/edalize copying edalize/trellis.py -> build/lib/edalize copying edalize/oxide.py -> build/lib/edalize copying edalize/yosys.py -> build/lib/edalize copying edalize/veribleformat.py -> build/lib/edalize copying edalize/nextpnr.py -> build/lib/edalize copying edalize/icarus.py -> build/lib/edalize copying edalize/vcs.py -> build/lib/edalize copying edalize/slang.py -> build/lib/edalize copying edalize/morty.py -> build/lib/edalize copying edalize/sandpipersaas.py -> build/lib/edalize copying edalize/xcelium.py -> build/lib/edalize copying edalize/icestorm.py -> build/lib/edalize copying edalize/questaformal.py -> build/lib/edalize copying edalize/version.py -> build/lib/edalize copying edalize/ise.py -> build/lib/edalize copying edalize/libero.py -> build/lib/edalize copying edalize/ascentlint.py -> build/lib/edalize copying edalize/openlane.py -> build/lib/edalize copying edalize/rivierapro.py -> build/lib/edalize copying edalize/diamond.py -> build/lib/edalize copying edalize/quartus_reporting.py -> build/lib/edalize copying edalize/quartus.py -> build/lib/edalize copying edalize/symbiyosys.py -> build/lib/edalize copying edalize/modelsim.py -> build/lib/edalize copying edalize/veriblelint.py -> build/lib/edalize copying edalize/isim.py -> build/lib/edalize copying edalize/ghdl.py -> build/lib/edalize copying edalize/verilator.py -> build/lib/edalize copying edalize/vpr.py -> build/lib/edalize copying edalize/vivado.py -> build/lib/edalize copying edalize/openroad.py -> build/lib/edalize copying edalize/f4pga.py -> build/lib/edalize copying edalize/vunit.py -> build/lib/edalize copying edalize/utils.py -> build/lib/edalize copying edalize/ise_reporting.py -> build/lib/edalize copying edalize/mistral.py -> build/lib/edalize copying edalize/gatemate.py -> build/lib/edalize copying edalize/symbiflow.py -> build/lib/edalize copying edalize/genus.py -> build/lib/edalize creating build/lib/edalize/tools copying edalize/tools/efinity.py -> build/lib/edalize/tools copying edalize/tools/edatool.py -> build/lib/edalize/tools copying edalize/tools/yosys.py -> build/lib/edalize/tools copying edalize/tools/nextpnr.py -> build/lib/edalize/tools copying edalize/tools/icetime.py -> build/lib/edalize/tools copying edalize/tools/icarus.py -> build/lib/edalize/tools copying edalize/tools/sandpipersaas.py -> build/lib/edalize/tools copying edalize/tools/sv2v.py -> build/lib/edalize/tools copying edalize/tools/ghdl.py -> build/lib/edalize/tools copying edalize/tools/verilator.py -> build/lib/edalize/tools copying edalize/tools/vpr.py -> build/lib/edalize/tools copying edalize/tools/vivado.py -> build/lib/edalize/tools copying edalize/tools/icepack.py -> build/lib/edalize/tools copying edalize/tools/surelog.py -> build/lib/edalize/tools creating build/lib/edalize/flows copying edalize/flows/efinity.py -> build/lib/edalize/flows copying edalize/flows/generic.py -> build/lib/edalize/flows copying edalize/flows/lint.py -> build/lib/edalize/flows copying edalize/flows/icestorm.py -> build/lib/edalize/flows copying edalize/flows/edaflow.py -> build/lib/edalize/flows copying edalize/flows/sim.py -> build/lib/edalize/flows copying edalize/flows/gls.py -> build/lib/edalize/flows copying edalize/flows/vpr.py -> build/lib/edalize/flows copying edalize/flows/vivado.py -> build/lib/edalize/flows copying edalize/flows/f4pga.py -> build/lib/edalize/flows creating build/lib/edalize/templates creating build/lib/edalize/templates/yosys copying edalize/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/templates/yosys copying edalize/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/templates/yosys creating build/lib/edalize/templates/openfpga copying edalize/templates/openfpga/task_simulation.conf.j2 -> build/lib/edalize/templates/openfpga creating build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/Makefile.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-project.prj.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> build/lib/edalize/templates/spyglass creating build/lib/edalize/templates/vcs copying edalize/templates/vcs/Makefile.j2 -> build/lib/edalize/templates/vcs creating build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/templates/vivado creating build/lib/edalize/templates/vunit copying edalize/templates/vunit/run.py.j2 -> build/lib/edalize/templates/vunit creating build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-project.tcl.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-std-makefile.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-pro-makefile.j2 -> build/lib/edalize/templates/quartus creating build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/Makefile.j2 -> build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> build/lib/edalize/templates/ascentlint creating build/lib/edalize/templates/libero copying edalize/templates/libero/libero-project.tcl.j2 -> build/lib/edalize/templates/libero copying edalize/templates/libero/libero-run.tcl.j2 -> build/lib/edalize/templates/libero copying edalize/templates/libero/libero-syn-user.tcl.j2 -> build/lib/edalize/templates/libero creating build/lib/edalize/templates/ghdl copying edalize/templates/ghdl/Makefile.j2 -> build/lib/edalize/templates/ghdl creating build/lib/edalize/templates/openlane copying edalize/templates/openlane/openlane-makefile.j2 -> build/lib/edalize/templates/openlane copying edalize/templates/openlane/openlane-script-tcl.j2 -> build/lib/edalize/templates/openlane creating build/lib/edalize/templates/design_compiler copying edalize/templates/design_compiler/design-compiler-makefile.j2 -> build/lib/edalize/templates/design_compiler copying edalize/templates/design_compiler/design-compiler-project.tcl.j2 -> build/lib/edalize/templates/design_compiler copying edalize/templates/design_compiler/design-compiler-read-sources.tcl.j2 -> build/lib/edalize/templates/design_compiler creating build/lib/edalize/templates/genus copying edalize/templates/genus/genus-makefile.j2 -> build/lib/edalize/templates/genus copying edalize/templates/genus/genus-project.tcl.j2 -> build/lib/edalize/templates/genus copying edalize/templates/genus/genus-read-sources.tcl.j2 -> build/lib/edalize/templates/genus creating build/lib/edalize/tools/templates creating build/lib/edalize/tools/templates/efinity copying edalize/tools/templates/efinity/isf_to_xml.py -> build/lib/edalize/tools/templates/efinity copying edalize/tools/templates/efinity/newproj_tmpl.xml.j2 -> build/lib/edalize/tools/templates/efinity creating build/lib/edalize/tools/templates/yosys copying edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/tools/templates/yosys copying edalize/tools/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/tools/templates/yosys creating build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-netlist.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/tools/templates/vivado running build_scripts creating build/scripts-3.12 copying and adjusting scripts/el_docker -> build/scripts-3.12 changing mode of build/scripts-3.12/el_docker from 644 to 755 ==> Starting check()... ============================= test session starts ============================== platform linux -- Python 3.12.3, pytest-8.2.2, pluggy-1.5.0 rootdir: /build/python-edalize/src/edalize-0.5.4 plugins: typeguard-4.2.1 collected 150 items tests/test_apicula.py .... [ 2%] tests/test_ascentlint.py . [ 3%] tests/test_diamond.py .. [ 4%] tests/test_edam.py ....... [ 9%] tests/test_flow_gls.py . [ 10%] tests/test_flow_lint.py . [ 10%] tests/test_gatemate.py ...... [ 14%] tests/test_ghdl.py .... [ 17%] tests/test_icarus.py F. [ 18%] tests/test_icestorm.py ...... [ 22%] tests/test_ise.py .. [ 24%] tests/test_isim.py . [ 24%] tests/test_libero.py .. [ 26%] tests/test_mistral.py .... [ 28%] tests/test_modelsim.py .. [ 30%] tests/test_morty.py . [ 30%] tests/test_openfpga.py . [ 31%] tests/test_openlane.py . [ 32%] tests/test_oxide.py .... [ 34%] tests/test_plugin.py ... [ 36%] tests/test_quartus.py . [ 37%] tests/test_questa_formal.py . [ 38%] tests/test_radiant.py .. [ 39%] tests/test_reporting.py ............................... [ 60%] tests/test_rivierapro.py . [ 60%] tests/test_slang.py ... [ 62%] tests/test_symbiflow.py ... [ 64%] tests/test_symbiyosys.py . [ 65%] tests/test_tool_efinity.py .. [ 66%] tests/test_tool_icarus.py .. [ 68%] tests/test_tool_icepack.py ... [ 70%] tests/test_tool_verilator.py . [ 70%] tests/test_tool_vivado.py .. [ 72%] tests/test_tool_yosys.py ................ [ 82%] tests/test_trellis.py ... [ 84%] tests/test_vcs.py ... [ 86%] tests/test_veribleformat.py . [ 87%] tests/test_veriblelint.py . [ 88%] tests/test_verilator.py ....... [ 92%] tests/test_vivado.py .... [ 95%] tests/test_vpr.py . [ 96%] tests/test_vunit.py .. [ 97%] tests/test_xcelium.py . [ 98%] tests/test_xsim.py .. [ 99%] tests/test_yosys.py . [100%] =================================== FAILURES =================================== _________________________________ test_icarus __________________________________ make_edalize_test = ._fun at 0x3fa46d3e20> def test_icarus(make_edalize_test): name = "test_icarus_0" tool_options = { "iverilog_options": ["some", "iverilog_options"], "timescale": "1ns/1ns", } tf = make_edalize_test( "icarus", test_name=name, tool_options=tool_options, use_vpi=True ) tf.backend.configure() tf.compare_files(["Makefile", name + ".scr", "timescale.v"]) tf.backend.build() > tf.compare_files(["iverilog.cmd", "iverilog-vpi.cmd"]) tests/test_icarus.py:19: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ tests/edalize_common.py:91: in compare_files return compare_files(ref_dir, self.work_root, files) _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ ref_dir = '/build/python-edalize/src/edalize-0.5.4/tests/test_icarus' work_root = '/tmp/pytest-of-builduser/pytest-0/test_icarus0/0' files = ['iverilog.cmd', 'iverilog-vpi.cmd'] def compare_files(ref_dir, work_root, files): """Check that all *files* in *work_root* match those in *ref_dir*. If the environment variable :envvar:`GOLDEN_RUN` is set, the *files* in *work_root* are copied to *ref_dir* to become the new reference. """ for f in files: reference_file = os.path.join(ref_dir, f) generated_file = os.path.join(work_root, f) assert os.path.exists(generated_file) if "GOLDEN_RUN" in os.environ: shutil.copy(generated_file, reference_file) with open(reference_file) as fref, open(generated_file) as fgen: > assert fref.read() == fgen.read(), f E AssertionError: iverilog-vpi.cmd E assert '--name=vpi1 ...rc/vpi_2/f4\n' == '--name=vpi2 ...rc/vpi_1/f3\n' E E + --name=vpi1 -lsome_lib -Isrc/vpi_1/ src/vpi_1/f1 src/vpi_1/f3 E --name=vpi2 src/vpi_2/f4 E - --name=vpi1 -lsome_lib -Isrc/vpi_1/ src/vpi_1/f1 src/vpi_1/f3 tests/edalize_common.py:140: AssertionError ----------------------------- Captured stdout call ----------------------------- iverilog-vpi --name=vpi1 -lsome_lib -Isrc/vpi_1/ src/vpi_1/f1 src/vpi_1/f3 iverilog-vpi --name=vpi2 src/vpi_2/f4 iverilog -stop_module -c test_icarus_0.scr -o test_icarus_0 some iverilog_options ------------------------------ Captured log call ------------------------------- WARNING edalize.icarus:icarus.py:103 qip_file.qip has unknown file type 'QIP' WARNING edalize.icarus:icarus.py:103 qsys_file has unknown file type 'QSYS' WARNING edalize.icarus:icarus.py:103 sdc_file has unknown file type 'SDC' WARNING edalize.icarus:icarus.py:103 bmm_file has unknown file type 'BMM' WARNING edalize.icarus:icarus.py:103 pcf_file.pcf has unknown file type 'PCF' WARNING edalize.icarus:icarus.py:103 ucf_file.ucf has unknown file type 'UCF' WARNING edalize.icarus:icarus.py:103 tcl_file.tcl has unknown file type 'tclSource' WARNING edalize.icarus:icarus.py:103 waiver_file.waiver has unknown file type 'waiver' WARNING edalize.icarus:icarus.py:103 vhdl_file.vhd has unknown file type 'vhdlSource' WARNING edalize.icarus:icarus.py:103 vhdl_lfile has unknown file type 'vhdlSource' WARNING edalize.icarus:icarus.py:103 vhdl2008_file has unknown file type 'vhdlSource-2008' WARNING edalize.icarus:icarus.py:103 xci_file.xci has unknown file type 'xci' WARNING edalize.icarus:icarus.py:103 xdc_file.xdc has unknown file type 'xdc' WARNING edalize.icarus:icarus.py:103 bootrom.mem has unknown file type 'mem' WARNING edalize.icarus:icarus.py:103 c_file.c has unknown file type 'cSource' WARNING edalize.icarus:icarus.py:103 cpp_file.cpp has unknown file type 'cppSource' WARNING edalize.icarus:icarus.py:103 config.vbl has unknown file type 'veribleLintRules' WARNING edalize.icarus:icarus.py:103 verible_waiver.vbw has unknown file type 'veribleLintWaiver' WARNING edalize.icarus:icarus.py:103 verible_waiver2.vbw has unknown file type 'veribleLintWaiver' WARNING edalize.icarus:icarus.py:103 config.sby.j2 has unknown file type 'sbyConfigTemplate' WARNING edalize.icarus:icarus.py:103 pdc_constraint_file.pdc has unknown file type 'PDC' WARNING edalize.icarus:icarus.py:103 qsf_constraint_file.qsf has unknown file type 'QSF' WARNING edalize.icarus:icarus.py:103 pdc_floorplan_constraint_file.pdc has unknown file type 'FPPDC' WARNING edalize.icarus:icarus.py:103 lpf_file.lpf has unknown file type 'LPF' =============================== warnings summary =============================== tests/test_reporting.py: 23 warnings /usr/lib/python3.12/site-packages/pandas/core/dtypes/cast.py:1641: DeprecationWarning: np.find_common_type is deprecated. Please use `np.result_type` or `np.promote_types`. See https://numpy.org/devdocs/release/1.25.0-notes.html and the docs for more information. (Deprecated NumPy 1.25) return np.find_common_type(types, []) -- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html =========================== short test summary info ============================ FAILED tests/test_icarus.py::test_icarus - AssertionError: iverilog-vpi.cmd ============ 1 failed, 149 passed, 23 warnings in 150.53s (0:02:30) ============ ==> ERROR: A failure occurred in check().  Aborting... ==> ERROR: Build failed, check /var/lib/archbuild/extra-riscv64/root29/build [?25h[?25hreceiving incremental file list python-edalize-0.5.4-2-riscv64-build.log python-edalize-0.5.4-2-riscv64-check.log python-edalize-0.5.4-2-riscv64-prepare.log sent 81 bytes received 3,923 bytes 2,669.33 bytes/sec total size is 19,073 speedup is 4.76